create_clock -name clk -period 20.000 [get_ports {clk}] derive_clock_uncertainty set_false_path -from [get_ports {in_buttons[0] in_buttons[1] in_buttons[2] in_buttons[3] reset_n}] set_false_path -to [get_ports {row1[0] row1[1] row1[2] row1[3] row1[4] row1[5] row1[6] row1[7] row1[8] row1[9] row1[10] row1[11] row2[0] row2[1] row2[2] row2[3] row2[4] row2[5] row2[6] row2[7] row2[8] row2[9] row2[10] row2[11] row3[0] row3[1] row3[2] row3[3] row3[4] row3[5] row3[6] row3[7] row3[8] row3[9] row3[10] row3[11] row4[0] row4[1] row4[2] row4[3] row4[4] row4[5] row4[6] row4[7] row4[8] row4[9] row4[10] row4[11] row5[0] row5[1] row5[2] row5[3] row5[4] row5[5] row5[6] row5[7] row5[8] row5[9] row5[10] row5[11] row6[0] row6[1] row6[2] row6[3] row6[4] row6[5] row6[6] row6[7] row6[8] row6[9] row6[10] row6[11] row7[0] row7[1] row7[2] row7[3] row7[4] row7[5] row7[6] row7[7] row7[8] row7[9] row7[10] row7[11] row8[0] row8[1] row8[2] row8[3] row8[4] row8[5] row8[6] row8[7] row8[8] row8[9] row8[10] row8[11]}]